CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 vhdl

搜索资源列表

  1. zhuangtaijijtd_VHDL

    0下载:
  2. 用VHDL 和有限状态机的方法设计了主干道与支干道的交叉路口交通信号灯无人自动管理的控 制系统。将路口红绿灯的各种亮灯情况定义不同的状态,路口状况定义为触发条件,组成有限状态机
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:140415
    • 提供者:wang
  1. 11912911lunwen

    0下载:
  2. 本文主要介绍以EP1C3/EP1C6芯片进行十字路口的交通控制灯的设计,该系统可控制2个方向的红、黄、绿三盏灯,让其按特定的规律进行变化。用EP1C3/EP1C6作为交通控制灯的主控芯片,采用VHDL语言编写控制程序,利用MAX+PlusⅡ对设计结果进行仿真,发现系统工作性能良好。据此设计而成的硬件电路,也实现了控制要求。该设计展示了VHDL语言的强大功能和优秀特性。-This paper introduces the crossroads EP1C3/EP1C6 chip design of
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:299657
    • 提供者:灰太狼
  1. jiao-tong-deng

    0下载:
  2. VHDL语言设计交通信号指挥灯自动指挥的设计-VHDL language design traffic signal lights automatically directing the design of the command
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5360
    • 提供者:li li
  1. 6

    0下载:
  2. vhdl 语言实现的交通信号灯,红灯18秒,黄灯2秒,四个方向循环变换。-vhdl language implementation of the traffic lights, red light 18 seconds, yellow for 2 seconds, cyclic transformation of the four directions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:320258
    • 提供者:maidi
  1. jiaotongdeng

    0下载:
  2. 使用vhdl语言设计交通信号灯。由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。主干道处于常允许通行的状态,支干道有车来时才允许通行,主、支干道均有车时,两者交替允许通行,主、支干道每次放行时间不得短于30S,在每次由绿灯亮到红灯亮的转换过程中,要亮4S黄灯作为过渡。 -Using vhdl language design traffic lights. By a main road a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:56900
    • 提供者:陈小龙
  1. trafficlight

    1下载:
  2. VHDL编程的一个交通信号灯,红绿黄灯切换,分主干道支干道,含代码和报告-VHDL programming a traffic lights, red and yellow switch, sub-trunk branch roads, including code and reports
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:641024
    • 提供者:王雷
  1. jtdverilog

    0下载:
  2. 交通灯,verilog,VHDL,modelsim-Traffic lights, verilog, VHDL, modelsim ,,,,,,,,,,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1224
    • 提供者:金小泼
  1. traffic-light

    0下载:
  2. 简易的红绿交通灯变化程序,基于FPGA的vhdl代码-Simple red and green traffic lights change program, based on FPGA vhdl codes
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1357
    • 提供者:胡汉三
  1. traffic

    0下载:
  2. 学习VHDL语言入门程序——交通灯。对理解时序关系和VHDL基本语法很有帮助。-Learning VHDL language entry procedures- traffic lights. Understanding of the relationship between the timing and VHDL basic grammar.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1449
    • 提供者:唐宏伟
  1. jiaotong

    0下载:
  2. 用VHDL语言编写的交通灯控制程序,亲自实现可用-Traffic lights control program written in VHDL language, personally implementation available
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:406031
    • 提供者:dtq
  1. EDA-FPGA-traffic

    1下载:
  2. 该设计严格按照现实中的交通灯设计,利用vhdl硬件描述语言实现,设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2、 红、绿、黄发光二极管作信号灯,。 3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3492561
    • 提供者:刘鹏坤
  1. example7_jtd

    0下载:
  2. VHDL实现交通灯,通过分频操作实现对灯的控制和延时,运用的多种分频时钟来控制进程。-VHDL to achieve traffic lights, through the frequency control and the frequency of the lamp control and delay, the use of a variety of frequency control clock to control the process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:27934
    • 提供者:张琼
  1. jiaotongdeng

    0下载:
  2. VHDL语言实现模拟交通灯的运行,55s红,5s黄,30s绿灯切换,用led显示,同时在数码管上显示倒计时。内含详细说明以及仿真图-use VHDL language, traffic light and display time on segment-led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1585429
    • 提供者:maxiaobo
  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. eda.rar

    0下载:
  2. 课程设计-交通灯控制系统的设计帮助理解EDA课程中VHDL语言(Curriculum design - traffic lights control system design to help understand the EDA course VHDL language)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-20
    • 文件大小:245760
    • 提供者:cainiaolaoda
  1. epm240_example

    0下载:
  2. VHDL代码,共10个程序,分别是1分频器2状态机3计数器4拨码开关对应数码管显示5键盘及显示6键盘显示7交通灯8汉字滚动9ADC0804直流采样和显示10正弦波发生器(A total of 10 procedures, namely, 1 frequency dividers, 2 state machines, 3 counters, 4 dial switches, corresponding to digital tube display 5 keyboard and display 6
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:315392
    • 提供者:girl_lily
« 1 2 ... 13 14 15 16 17 18»
搜珍网 www.dssz.com